#! /usr/bin/vvp :ivl_version "0.9.7 " "(v0_9_7)"; :vpi_time_precision + 0; :vpi_module "system"; :vpi_module "v2005_math"; :vpi_module "va_math"; S_0xc40ee0 .scope module, "hello_world" "hello_world" 2 8; .timescale 0 0; .scope S_0xc40ee0; T_0 ; %vpi_call 2 10 "$display", "Hello World by Deepak"; %delay 10, 0; %vpi_call 2 11 "$finish"; %end; .thread T_0; # The file index is used to find the file name in the following table. :file_names 3; "N/A"; ""; "Verilog/v42/hello_world.v";