#! /usr/bin/vvp :ivl_version "0.9.7 " "(v0_9_7)"; :vpi_time_precision + 0; :vpi_module "system"; :vpi_module "v2005_math"; :vpi_module "va_math"; S_0x2535450 .scope module, "delay_example" "delay_example" 2 1; .timescale 0 0; L_0x25687f0/d .functor OR 1, v0x2535540_0, v0x25682f0_0, C4<0>, C4<0>; L_0x25687f0 .delay (5,5,5) L_0x25687f0/d; L_0x25688d0/d .functor AND 1, v0x2535540_0, v0x25682f0_0, C4<1>, C4<1>; L_0x25688d0 .delay (1,2,1) L_0x25688d0/d; L_0x2568ac0/d .functor NOR 1, v0x2535540_0, v0x25682f0_0, C4<0>, C4<0>; L_0x2568ac0 .delay (1,2,3) L_0x2568ac0/d; L_0x2568c40/d .functor NAND 1, v0x2535540_0, v0x25682f0_0, C4<1>, C4<1>; L_0x2568c40 .delay (2,2,2) L_0x2568c40/d; L_0x2568e50/d .functor BUF 1, v0x2535540_0, C4<0>, C4<0>, C4<0>; L_0x2568e50 .delay (4,5,4) L_0x2568e50/d; L_0x2568f80/d .functor NOTIF1 1, v0x2535540_0, v0x25682f0_0, C4<0>, C4<0>; L_0x2568f80 .delay (2,5,8) L_0x2568f80/d; v0x2535540_0 .var "b", 0 0; v0x25682f0_0 .var "c", 0 0; v0x2568390_0 .net "out1", 0 0, L_0x25687f0; 1 drivers v0x2568430_0 .net "out2", 0 0, L_0x25688d0; 1 drivers v0x25684e0_0 .net "out3", 0 0, L_0x2568ac0; 1 drivers v0x2568580_0 .net "out4", 0 0, L_0x2568c40; 1 drivers v0x2568660_0 .net "out5", 0 0, L_0x2568e50; 1 drivers v0x2568700_0 .net "out6", 0 0, L_0x2568f80; 1 drivers .scope S_0x2535450; T_0 ; %vpi_call 2 18 "$monitor", "Time = %g b = %b c=%b out1=%b out2=%b out3=%b out4=%b out5=%b out6=%b", $time, v0x2535540_0, v0x25682f0_0, v0x2568390_0, v0x2568430_0, v0x25684e0_0, v0x2568580_0, v0x2568660_0, v0x2568700_0; %set/v v0x2535540_0, 0, 1; %set/v v0x25682f0_0, 0, 1; %delay 10, 0; %set/v v0x2535540_0, 1, 1; %delay 10, 0; %set/v v0x25682f0_0, 1, 1; %delay 10, 0; %set/v v0x2535540_0, 0, 1; %delay 10, 0; %vpi_call 2 25 "$finish"; %end; .thread T_0; # The file index is used to find the file name in the following table. :file_names 3; "N/A"; ""; "Verilog/v42/delay_example.v";