#! /usr/bin/vvp :ivl_version "0.9.7 " "(v0_9_7)"; :vpi_time_precision + 0; :vpi_module "system"; :vpi_module "v2005_math"; :vpi_module "va_math"; S_0xd0c000 .scope module, "main" "main" 2 21; .timescale 0 0; v0xd0c0f0_0 .var "foo", 0 0; E_0xce9ca0 .event edge, v0xd0c0f0_0; .scope S_0xd0c000; T_0 ; %set/v v0xd0c0f0_0, 2, 1; %end; .thread T_0; .scope S_0xd0c000; T_1 ; %delay 10, 0; %set/v v0xd0c0f0_0, 1, 1; %end; .thread T_1; .scope S_0xd0c000; T_2 ; %delay 1, 0; %load/v 8, v0xd0c0f0_0, 1; %cmp/u 8, 2, 1; %inv 6, 1; %jmp/0xz T_2.0, 6; %vpi_call 2 29 "$display", "FAILED -- foo before wait is %b", v0xd0c0f0_0; %vpi_call 2 30 "$finish"; T_2.0 ; T_2.2 ; %load/v 8, v0xd0c0f0_0, 1; %cmpi/u 8, 1, 1; %inv 6, 1; %jmp/0xz T_2.3, 6; %wait E_0xce9ca0; %jmp T_2.2; T_2.3 ; %load/v 8, v0xd0c0f0_0, 1; %cmpi/u 8, 1, 1; %inv 6, 1; %jmp/0xz T_2.4, 6; %vpi_call 2 37 "$display", "FAILED -- foo after wait is %b", v0xd0c0f0_0; %vpi_call 2 38 "$finish"; T_2.4 ; %vpi_func 2 41 "$time", 8, 64; %cmpi/u 8, 10, 64; %inv 4, 1; %jmp/0xz T_2.6, 4; %vpi_call 2 42 "$display", "FAILED -- $time after wait is %t", $time; %vpi_call 2 43 "$finish"; T_2.6 ; %vpi_call 2 46 "$display", "PASSED"; %end; .thread T_2; # The file index is used to find the file name in the following table. :file_names 3; "N/A"; ""; "ivtest-master/ivltests/wait2.v";