#! /usr/bin/vvp :ivl_version "0.9.7 " "(v0_9_7)"; :vpi_time_precision + 0; :vpi_module "system"; :vpi_module "v2005_math"; :vpi_module "va_math"; S_0x1216000 .scope module, "top" "top" 2 1; .timescale 0 0; v0x12160f0 .array "array", 0 1, 7 0; v0x1216170_0 .var "bs", 7 0; v0x1228360_0 .var/i "idx", 31 0; v0x1228400_0 .var "ps", 7 0; .scope S_0x1216000; T_0 ; %set/v v0x1216170_0, 0, 8; %set/v v0x1228400_0, 0, 8; %ix/load 1, 0, 0; %ix/load 3, 0, 0; %set/av v0x12160f0, 0, 8; %movi 8, 1, 2; %ix/load 3, 0, 0; %mov 4, 0, 1; %jmp/1 T_0.0, 4; %ix/get/s 0, 8, 2; T_0.0 ; %load/avx.p 8, v0x12160f0, 0; ; Save base=8 wid=1 in lookaside. %vpi_call 2 13 "$monitor", $time, " BS = ", &PV, ", PS = ", &PV, ", AR = ", T<8,1,u>; %set/v v0x1228360_0, 0, 32; T_0.1 ; %load/v 8, v0x1228360_0, 32; %cmpi/s 8, 8, 32; %jmp/0xz T_0.2, 5; %delay 1, 0; %ix/getv/s 0, v0x1228360_0; %jmp/1 t_0, 4; %set/x0 v0x1216170_0, 1, 1; t_0 ; %ix/load 0, 1, 0; %load/vp0/s 8, v0x1228360_0, 32; %set/v v0x1228360_0, 8, 32; %jmp T_0.1; T_0.2 ; %set/v v0x1228360_0, 0, 32; T_0.3 ; %load/v 8, v0x1228360_0, 32; %cmpi/s 8, 8, 32; %jmp/0xz T_0.4, 5; %delay 1, 0; %ix/getv/s 0, v0x1228360_0; %jmp/1 t_1, 4; %set/x0 v0x1228400_0, 1, 1; t_1 ; %ix/load 0, 1, 0; %load/vp0/s 8, v0x1228360_0, 32; %set/v v0x1228360_0, 8, 32; %jmp T_0.3; T_0.4 ; %set/v v0x1228360_0, 0, 32; T_0.5 ; %load/v 8, v0x1228360_0, 32; %cmpi/s 8, 8, 32; %jmp/0xz T_0.6, 5; %delay 1, 0; %ix/load 3, 0, 0; %ix/getv/s 1, v0x1228360_0; %jmp/1 t_2, 4; %set/av v0x12160f0, 1, 1; t_2 ; %ix/load 0, 1, 0; %load/vp0/s 8, v0x1228360_0, 32; %set/v v0x1228360_0, 8, 32; %jmp T_0.5; T_0.6 ; %end; .thread T_0; # The file index is used to find the file name in the following table. :file_names 3; "N/A"; ""; "ivtest-master/ivltests/pr2785294.v";