#! /usr/bin/vvp :ivl_version "0.9.7 " "(v0_9_7)"; :vpi_time_precision + 0; :vpi_module "system"; :vpi_module "v2005_math"; :vpi_module "va_math"; S_0x265a200 .scope module, "top" "top" 2 1; .timescale 0 0; P_0x265a2f8 .param/l "py" 2 2, C4<00000010>; P_0x265a320 .param/l "pz" 2 3, C4<11111110>; v0x265a350_0 .net *"_s2", 1 0, L_0x267f330; 1 drivers v0x267ed90_0 .net *"_s4", 5 0, C4<000000>; 1 drivers v0x267ee30_0 .net *"_s8", 1 0, L_0x267f5a0; 1 drivers v0x267eed0_0 .var/s "a", 7 0; v0x267ef80_0 .var "passed", 0 0; v0x267f020_0 .var/s "ry", 7 0; v0x267f100_0 .var/s "rz", 7 0; v0x267f1a0_0 .net/s "wy", 7 0, L_0x267f430; 1 drivers v0x267f290_0 .net/s "wz", 7 0, L_0x267f690; 1 drivers L_0x267f330 .part v0x267eed0_0, 6, 2; L_0x267f430 .concat [ 2 6 0 0], L_0x267f330, C4<000000>; L_0x267f5a0 .part v0x267eed0_0, 6, 2; L_0x267f690 .extend/s 8, L_0x267f5a0; .scope S_0x265a200; T_0 ; %set/v v0x267ef80_0, 1, 1; %movi 8, 170, 8; %set/v v0x267eed0_0, 8, 8; %delay 1, 0; %load/v 8, v0x267eed0_0, 8; %ix/load 0, 6, 0; %mov 4, 0, 1; %shiftr/i0 8, 8; %set/v v0x267f020_0, 8, 8; %load/v 8, v0x267f020_0, 8; %cmpi/u 8, 2, 8; %inv 6, 1; %jmp/0xz T_0.0, 6; %vpi_call 2 31 "$display", "Failed procedural >>, expected 8'b00000010, got %b", v0x267f020_0; %set/v v0x267ef80_0, 0, 1; T_0.0 ; %load/v 8, v0x267eed0_0, 8; %ix/load 0, 6, 0; %mov 4, 0, 1; %shiftr/s/i0 8, 8; %set/v v0x267f100_0, 8, 8; %load/v 8, v0x267f100_0, 8; %cmpi/u 8, 254, 8; %inv 6, 1; %jmp/0xz T_0.2, 6; %vpi_call 2 36 "$display", "Failed procedural >>>, expected 8'b11111110, got %b", v0x267f100_0; %set/v v0x267ef80_0, 0, 1; T_0.2 ; %load/v 8, v0x267f1a0_0, 8; %cmpi/u 8, 2, 8; %inv 6, 1; %jmp/0xz T_0.4, 6; %vpi_call 2 42 "$display", "Failed CA >>, expected 8'b00000010, got %b", v0x267f1a0_0; %set/v v0x267ef80_0, 0, 1; T_0.4 ; %load/v 8, v0x267f290_0, 8; %cmpi/u 8, 254, 8; %inv 6, 1; %jmp/0xz T_0.6, 6; %vpi_call 2 46 "$display", "Failed CA >>>, expected 8'111111110, got %b", v0x267f290_0; %set/v v0x267ef80_0, 0, 1; T_0.6 ; %load/v 8, v0x267ef80_0, 1; %jmp/0xz T_0.8, 8; %vpi_call 2 50 "$display", "PASSED"; T_0.8 ; %end; .thread T_0; # The file index is used to find the file name in the following table. :file_names 3; "N/A"; ""; "ivtest-master/ivltests/pr2722330b.v";