#! /usr/bin/vvp :ivl_version "0.9.7 " "(v0_9_7)"; :vpi_time_precision + 0; :vpi_module "system"; :vpi_module "v2005_math"; :vpi_module "va_math"; S_0x152c000 .scope module, "main" "main" 2 21; .timescale 0 0; v0x153f850_0 .var "error", 0 0; v0x153f910_0 .var "val1", 31 0; v0x153f9b0_0 .var "val2", 31 0; S_0x153f600 .scope function, "myfunc1" "myfunc1" 2 31, 2 31, S_0x152c000; .timescale 0 0; v0x153f6f0_0 .var "in1", 31 0; v0x153f7b0_0 .var "myfunc1", 31 0; TD_main.myfunc1 ; %load/v 8, v0x153f6f0_0, 32; %set/v v0x150bb30_0, 8, 32; %fork TD_main.myfunc2, S_0x152c0f0; %join; %load/v 8, v0x153f560_0, 32; %set/v v0x153f7b0_0, 8, 32; %end; S_0x152c0f0 .scope function, "myfunc2" "myfunc2" 2 26, 2 26, S_0x152c000; .timescale 0 0; v0x150bb30_0 .var "in2", 31 0; v0x153f560_0 .var "myfunc2", 31 0; TD_main.myfunc2 ; %load/v 8, v0x150bb30_0, 32; %set/v v0x153f560_0, 8, 32; %end; .scope S_0x152c000; T_2 ; %set/v v0x153f850_0, 0, 1; %set/v v0x153f6f0_0, 0, 32; %fork TD_main.myfunc1, S_0x153f600; %join; %load/v 8, v0x153f7b0_0, 32; %set/v v0x153f910_0, 8, 32; %load/v 8, v0x153f910_0, 32; %cmpi/u 8, 0, 32; %inv 4, 1; %jmp/0xz T_2.0, 4; %vpi_call 2 42 "$display", "FAILED - function3.11C - function called from funct(1)"; %set/v v0x153f850_0, 1, 1; T_2.0 ; %movi 8, 305419896, 32; %set/v v0x153f9b0_0, 8, 32; %load/v 8, v0x153f9b0_0, 32; %set/v v0x153f6f0_0, 8, 32; %fork TD_main.myfunc1, S_0x153f600; %join; %load/v 8, v0x153f7b0_0, 32; %set/v v0x153f910_0, 8, 32; %load/v 8, v0x153f910_0, 32; %load/v 40, v0x153f9b0_0, 32; %cmp/u 8, 40, 32; %inv 4, 1; %jmp/0xz T_2.2, 4; %vpi_call 2 50 "$display", "FAILED - function3.11C - function called from funct(2)"; %set/v v0x153f850_0, 1, 1; T_2.2 ; %movi 8, 269488144, 32; %set/v v0x153f6f0_0, 8, 32; %fork TD_main.myfunc1, S_0x153f600; %join; %load/v 8, v0x153f7b0_0, 32; %movi 40, 269488144, 32; %cmp/u 8, 40, 32; %inv 4, 1; %jmp/0xz T_2.4, 4; %vpi_call 2 56 "$display", "FAILED - function3.11C - function called from funct(3)"; %set/v v0x153f850_0, 1, 1; T_2.4 ; %load/v 8, v0x153f850_0, 1; %mov 9, 0, 1; %cmpi/u 8, 0, 2; %jmp/0xz T_2.6, 4; %vpi_call 2 61 "$display", "PASSED"; T_2.6 ; %end; .thread T_2; # The file index is used to find the file name in the following table. :file_names 3; "N/A"; ""; "ivtest-master/ivltests/function3.11C.v";