WARNING: ivtest-master/ivltests/fscanf_z.v:15: invalid file descriptor/MCD (0x0) given to $fclose. ERROR: ivtest-master/ivltests/fscanf_z.v:17: invalid file descriptor (0x0) given to $fscanf. FAILED: $fscanf() #1 returned -1 ERROR: ivtest-master/ivltests/fscanf_z.v:25: invalid file descriptor (0x0) given to $fscanf. WARNING: ivtest-master/ivltests/fscanf_z.v:30: invalid file descriptor/MCD (0x0) given to $fclose. WARNING: ivtest-master/ivltests/fscanf_z.v:39: invalid file descriptor/MCD (0x0) given to $fclose. ERROR: ivtest-master/ivltests/fscanf_z.v:41: invalid file descriptor (0x0) given to $fscanf. FAILED: $fscanf() #2a returned -1 ERROR: ivtest-master/ivltests/fscanf_z.v:47: invalid file descriptor (0x0) given to $fscanf. WARNING: ivtest-master/ivltests/fscanf_z.v:52: invalid file descriptor/MCD (0x0) given to $fclose. WARNING: ivtest-master/ivltests/fscanf_z.v:59: invalid file descriptor/MCD (0x0) given to $fclose. ERROR: ivtest-master/ivltests/fscanf_z.v:61: invalid file descriptor (0x0) given to $fscanf. FAILED: $fscanf() #2b returned -1 ERROR: ivtest-master/ivltests/fscanf_z.v:67: invalid file descriptor (0x0) given to $fscanf. WARNING: ivtest-master/ivltests/fscanf_z.v:72: invalid file descriptor/MCD (0x0) given to $fclose. WARNING: ivtest-master/ivltests/fscanf_z.v:79: invalid file descriptor/MCD (0x0) given to $fclose. ERROR: ivtest-master/ivltests/fscanf_z.v:81: invalid file descriptor (0x0) given to $fscanf. FAILED: $fscanf() #2c returned -1 ERROR: ivtest-master/ivltests/fscanf_z.v:87: invalid file descriptor (0x0) given to $fscanf. WARNING: ivtest-master/ivltests/fscanf_z.v:92: invalid file descriptor/MCD (0x0) given to $fclose. WARNING: ivtest-master/ivltests/fscanf_z.v:98: invalid file descriptor/MCD (0x0) given to $fclose. ERROR: ivtest-master/ivltests/fscanf_z.v:100: invalid file descriptor (0x0) given to $fscanf. FAILED: $fscanf() #3 returned -1 ERROR: ivtest-master/ivltests/fscanf_z.v:108: invalid file descriptor (0x0) given to $fscanf. WARNING: ivtest-master/ivltests/fscanf_z.v:113: invalid file descriptor/MCD (0x0) given to $fclose. WARNING: ivtest-master/ivltests/fscanf_z.v:120: invalid file descriptor/MCD (0x0) given to $fclose. ERROR: ivtest-master/ivltests/fscanf_z.v:122: invalid file descriptor (0x0) given to $fscanf. FAILED: $fscanf() #4 returned -1 ERROR: ivtest-master/ivltests/fscanf_z.v:130: invalid file descriptor (0x0) given to $fscanf. WARNING: ivtest-master/ivltests/fscanf_z.v:135: invalid file descriptor/MCD (0x0) given to $fclose. WARNING: ivtest-master/ivltests/fscanf_z.v:141: invalid file descriptor/MCD (0x0) given to $fclose. ERROR: ivtest-master/ivltests/fscanf_z.v:143: invalid file descriptor (0x0) given to $fscanf. FAILED: $fscanf() #5 returned -1 ERROR: ivtest-master/ivltests/fscanf_z.v:151: invalid file descriptor (0x0) given to $fscanf. WARNING: ivtest-master/ivltests/fscanf_z.v:156: invalid file descriptor/MCD (0x0) given to $fclose. WARNING: ivtest-master/ivltests/fscanf_z.v:164: invalid file descriptor/MCD (0x0) given to $fclose. ERROR: ivtest-master/ivltests/fscanf_z.v:166: invalid file descriptor (0x0) given to $fscanf. FAILED: $fscanf() #6 returned -1 ERROR: ivtest-master/ivltests/fscanf_z.v:174: invalid file descriptor (0x0) given to $fscanf. WARNING: ivtest-master/ivltests/fscanf_z.v:179: invalid file descriptor/MCD (0x0) given to $fclose. WARNING: ivtest-master/ivltests/fscanf_z.v:187: invalid file descriptor/MCD (0x0) given to $fclose. ERROR: ivtest-master/ivltests/fscanf_z.v:189: invalid file descriptor (0x0) given to $fscanf. FAILED: $fscanf() #7 returned -1 ERROR: ivtest-master/ivltests/fscanf_z.v:197: invalid file descriptor (0x0) given to $fscanf. WARNING: ivtest-master/ivltests/fscanf_z.v:202: invalid file descriptor/MCD (0x0) given to $fclose. WARNING: ivtest-master/ivltests/fscanf_z.v:210: invalid file descriptor/MCD (0x0) given to $fclose. ERROR: ivtest-master/ivltests/fscanf_z.v:212: invalid file descriptor (0x0) given to $fscanf. FAILED: $fscanf() #8 returned -1 ERROR: ivtest-master/ivltests/fscanf_z.v:220: invalid file descriptor (0x0) given to $fscanf. WARNING: ivtest-master/ivltests/fscanf_z.v:225: invalid file descriptor/MCD (0x0) given to $fclose.